A combined sensor placement and convex optimization approach for thermal management in 3D-MPSoC with liquid cooling

نویسندگان

  • Francesco Zanini
  • David Atienza
  • Giovanni De Micheli
چکیده

Modern high-performance processors employ thermal management systems, which rely on accurate readings of on-die thermal sensors. Systematic tools for analysis and determination of best allocation and placement of thermal sensors is therefore a highly relevant problem. Moreover liquid cooling has emerged as a promising solution for addressing the elevated temperatures in 3D Multi-Processor Systems-on-Chips (MPSoCs). In this work, we present a combined sensor placement and convex optimization approach for thermal management in 3D-MPSoC with liquid cooling. This approach first finds the best locations inside the 3D-MPSoC where thermal sensors can be placed using a greedy approach. Then, the temperature sensing information is subsequently used by our convex-based thermal management policy to optimize the performance of the MPSoC while guaranteeing a reliable working condition. We perform experiments on a 3D multicore architecture case-study using benchmarks ranging from web-accessing to playing multimedia. Our results show a reduction up to 10 in the number of required sensors. Moreover our policy satisfies performance requirements, while reducing cooling energy by up to 72% compared with traditional state of the art liquid cooling techniques. The proposed policy also keeps the thermal profile up to 18 1C lower compared with state of the art 3D thermal management techniques using variable-flow liquid cooling. & 2011 Elsevier B.V. All rights reserved.

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

منابع مشابه

Convex-Based Thermal Management for 3D MPSoCs Using DVFS and Variable-Flow Liquid Cooling

In this work, we propose a novel online thermal management approach based on model predictive control for 3D multi-processors system on chip (MPSoCs) using microfluidic cooling. The controller uses dynamic voltage and frequency scaling (DVFS) for the computational cores and adjusts the liquid flow rate to meet the desired performance requirements and to minimize the overall MPSoC energy consump...

متن کامل

Design of Thermal Management Control Policies for Multiprocessors Systems on Chip

The contribution of this thesis is a thorough study of thermal aware policy design for MPSoCs. The study includes the modelling of their thermal behavior as well as the improvement and the definition of new thermal management and balancing policies. The work is structured on three main specific disciplines. The areas of contributions are: modeling, algorithms and system design. This thesis exte...

متن کامل

Temperature-Aware Design and Management for 3D Multi-Core Architectures

Vertically-integrated 3D multiprocessors systems-on-chip (3D MPSoCs) provide the means to continue integrating more functionality within a unit area while enhancing manufacturing yields and runtime performance. However, 3D MPSoCs incur amplified thermal challenges that undermine the corresponding reliability. To address these issues, several advanced cooling technologies, alongside temperature-...

متن کامل

The Effects of Electricity Boiler on Integrated CCHP-Thermal-Heat Only Unit Commitment Problem Based on Hybrid GA Approach

Combined cooling, heat, and power (CCHP) units can be integrated with conventional separate cooling, heat, and power production units to meet demands. The goal of this study is to develop and examine a hybrid GA-heuristic optimization algorithm for solving the unit commitment problem for integrated CCHP-thermal-heat only system with considerations for electricity boiler. When environmental emis...

متن کامل

TheSPoT: Thermal Stress-Aware Power and Temperature Management for Multiprocessor Systems-on-Chip

Thermal stress including temperature gradients in time and space, as well as thermal cycling, influences lifetime reliability and performance of modern Multiprocessor Systemson-Chip (MPSoCs). Conventional power and temperature management techniques considering the peak temperature/power consumption do not provide a comprehensive solution to avoid high spatial and temporal thermal variations. Th...

متن کامل

ذخیره در منابع من


  با ذخیره ی این منبع در منابع من، دسترسی به آن را برای استفاده های بعدی آسان تر کنید

برای دانلود متن کامل این مقاله و بیش از 32 میلیون مقاله دیگر ابتدا ثبت نام کنید

ثبت نام

اگر عضو سایت هستید لطفا وارد حساب کاربری خود شوید

عنوان ژورنال:
  • Integration

دوره 46  شماره 

صفحات  -

تاریخ انتشار 2013